首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> vhdl-cpld

一种通用基于CPLD实现的CAN接口连接设计

  • 一种通用基于CPLD实现的CAN接口连接设计, 摘要:介绍一种基于CPLD实现的DSP或ARM处理器与CAN总线控制器SJA1000接口连接设计。通常DSP或ARM处理器都有独立的地址和数据总线,而SJA1000采用的是地址、数据分时复用总线,它们不能直接连接。该设计主要是通过CP
  • 关键字:CPLDCAN控制器SJA1000TARMDSP微处理器

基于MAX 7 0 0 0A与CYUSB3014的USB3.0数据采集系统

  • 基于MAX 7 0 0 0A与CYUSB3014的USB3.0数据采集系统的设计, 0 引言目前高速数据采集技术越来越得到人们的重视,传统的USB 2.0采集平台理论带宽只有480 Mbps,实际传输能力只有30 Mb/s左右,而USB 3.0采集平台理论带宽达到了5Gbps,能有效解决USB2.0采集系统的缺陷。目前一些
  • 关键字:USB3.0CPLDCYUSB3014数据采集

罗姆推出FPGA用电源稳压器及模块

  • 罗姆推出FPGA用电源稳压器及模块, 近年来,电子设备(应用)的多样化与高性能化以惊人的速度不断发展。可以说,这种趋势使各产品的开发周期缩短,并给半导体技术带来了巨大的发展空间。在这种背景下,被称为FPGA的LSI为电子设备的开发作出了巨大贡献,
  • 关键字:FPGAIP嵌入式PLDCPLD

FPGA实战演练逻辑篇3:FPGA与CPLD

  • 尽管很多人听说过FPGA和CPLD,但是关于FPGA与CPLD之间的区别,了解的人可能不是很多。虽然FPGA与CPLD都是“可反复编程的逻辑器件”,但是在技术上
  • 关键字:FPGA实战演练CPLD

基于CPLD实现QPSK调制电路的设计

  • QPSK是数字通信系统中一种常用的多进制调制方式。其调制的基本原理:对输入的二进制序列按每两位码元分为一组,用载波的四种相位表征它们。实际
  • 关键字:QPSK调制CPLD

EDA技术在数字电路设计方案中的影响

  •   随着科学研究与技术开发市场化,采用传统电子设计手段在较短时间内完成复杂电子系统设计,已经越来越难完成了。EDA(EleCTRonICs Design Automation)技术是随着集成电路和计算机技术飞速发展应运而生一种高级、快速、有效电子设计自动化工具。  1、EDA技术  EDA(电子线路设计座自动化)是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要元件建模和系统仿真电子产品自
  • 关键字:EDAVHDL

【E课题】FPGA/CPLD数字电路原理介绍

  •   当产生门控时钟的组合逻辑超过一级时,证设计项目的可靠性变得很困难。即使样机或仿真结果没有显示出静态险象,但实际上仍然可能存在着危险。通常,我们不应该用多级组合逻辑去钟控PLD设计中的触发器。  图1给出一个含有险象的多级时钟的例子。时钟是由SEL引脚控制的多路选择器输出的。多路选择器的输入是时钟(CLK)和该时钟的2分频 (DIV2)。由图1的定时波形图看出,在两个时钟均为逻辑1的情况下,当SEL线的状态改变时,存在静态险象。险象的程度取决于工作的条件。 多级逻辑的险象是可以去除的
  • 关键字:FPGACPLD

数字电路设计入门之数字设计的任务和两项基本功

  •   这次我们讲一讲如何入门学习硬件描述语言和数字逻辑电路;学习数字逻辑电路,我推荐的一本书就是--《数字设计-原理与实践》,其他的深入点可以看看《完整数字设计》;而对于硬件描述语言呢?有两个原则,一个是买书的原则,一个是看书的原则。首先,你必须买两类书,一类是语法书,平常使用的时候可以查一查某些语法;一类是,对语言的使用的讲解和使用的方法(如何书写RTL,如何设计电路,如何调试代码,使用仿真器等);我用过一年的VHDL和两年的Verilog;作为过来人,我想介绍一些比较好的书给入门者,避免大家走弯路。
  • 关键字:VHDLVerilog

一种带电流检测非互补式PWM 产生电路设计

  •   摘 要: 高压钠灯是城市照明的重要设备, 其供电电源对照明节能的效果和钠灯工作的可靠性具有十分重要的意义。针对交流调压电源应用于城市路灯节能照明这一特殊场合, 分析了其带电流检测的非互补式控制方式的工作过程, 并采用CPLD 设计了一种相应的PWM 时序产生电路, 节能照明电源采用此种斩波时序电路后可以带感性、阻性、容性负载, 工作稳定。  0 引 言  近年来, 城市的照明节能工作
  • 关键字:PWMCPLD

FPGA实战演练逻辑篇:FPGA与CPLD

  •   尽管很多人听说过FPGA和CPLD,但是关于FPGA与CPLD之间的区别,了解的人可能不是很多。虽然FPGA与CPLD都是“可反复编程的逻辑器件”,但是在技术上却有一些差异。简单地说,FPGA就是将CPLD的电路规模、功能、性能等方面强化之后的产物。(特权同学版权所有)   一般而言, FPGA与CPLD之间的区别如表1.1所示。(特权同学版权所有)   表1.1 FPGA和CPLD的比较      总而言之,FPGA和CPLD最大的区别是他们的存储
  • 关键字:FPGACPLD

基于CPLD的光伏逆变器锁相及保护电路设计

  •   0 引言   在光伏并网系统的逆变器电路中,对电网电压的锁相是一项关键技术。由于电力系统在工作时会产生较大的电磁干扰,因此,其简单的锁相方法很容易受到干扰而失锁,从而导致系统无法正常运行。在这种情况下,设计采用对电网电压进行过零检测后再将信号送人CPLD,然后由CPLD实现对电网电压进行数字锁相的方法,可以有效地防止相位因干扰而发生抖动或者失锁的现象,保证系统的正常运行。另外,本系统还使用CPLD对DSP产生的PWM波控制信号和系统运行时的各项参数进行监控,一旦发现异常,立即使系统停机,并通知DSP
  • 关键字:滤波器CPLD光伏逆变器

基于DSP+CPLD的伺服控制卡的设计

  •   0 引 言   随着先进制造技术的迅速发展,对运动控制的精度要求也越来越高,而运动伺服控制系统的性能很大程度上取决于伺服控制算法,通过运动控制与智能控制的融合,从改进传统的PID控制,到现代的最优控制、自适应控制、智能控制技术,应用先进的智能控制策略达到高质量的运动控制效果,已经成为当前研究的一个热点。   由于运动伺服控制系统中存在负载模型参数的变化,机械摩擦、电机饱和等非线性因素,造成受控对象的非线性和模型不确定性,使得需要依靠精确的数学模型,系统模型参数的常规PID控制很难获得超高精度、快响
  • 关键字:DSPCPLD

基于CPLD的编码器解码接口、PWM输出方案及其在运动控制卡和伺服驱动器中的应用

  •   引言   在数控机床或其他数控设备中,往往都会用到光栅尺或编码器等位置传感部件,用以来测量机械运动部件的实际运动位置及速度信息。那么光栅尺或编码器测量到的数值,就需要专门的接收部件来处理。一般的编码器输出的信号是AB(或ABZ)相正交编码信号,之所以这样编码也是为了将方向信息加入码流,同时也有利抗干扰等方面的处理。因此在接收这个信号时就需要专门的解码接口电路,将所得的数据也就是实际运动位置/位置信息传递给处理单元,或通过总线(比如PCI)传递给数控设备的中央控制系统中,让控制系统的软硬件根据测来的实
  • 关键字:PWMCPLD

基于FPGA的伺服驱动器分周比设计与实现

  •   引 言   电动机是各类数控机床的重要执行部件。要实现对电动机的精确位置控制,转子的位置必须能够被精确的检测出来。光电编码器是目前最常用的检测器件。光电编码器分为增量式、绝对式和混合式。其中,增量式以其构造简单,机械寿命长,易实现高分辨率等优点,已被广泛采用。增量式光电编码器输出有A,B,Z三相信号,其中A相和B相相位相差90°,Z相是编码器的“零位”,每转只输出一个脉冲。在应用中,经常需要对A相、B相正交脉冲按照一定的比例,即分周比进行分频。分频的难点是,无论设定分
  • 关键字:FPGAVHDL

基于AT89S52和CPLD的数字转速表设计

  •   介绍了以89S52单片机和复杂可编程逻辑器件(CPLD)为核心的数字转速表的设计。采用CPLD来实现转速、周期、脉宽和占空比的测量计数,单片机完成测试控制、数据处理和显示输出。同时,运用等精度的设计方法,克服了基于传统测速原理转速表的测量精度随被测转速的下降而降低的缺点。实验结果表明,所设计的数字转速表性能稳定,测量精度高。   基于单片机和CPLD的数字转速表设计
  • 关键字:AT89S52CPLD
关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473