zhengfang575

菜鸟

今日你签到了吗?论坛动态

来自论坛2007-11-03 10:22

VxWorks嵌入式系统下视频监控系统通信的研究

摘要:通过嵌入式实时操作系统(VxWorks) ,实现了视频监控系统的解决方案. 研究了VxWorks 系统下实现设备和数据通信的关键技术和相应机制,并采用有限状态机( FSM) ……
来自论坛2007-11-02 09:50

基于RT-Linux的嵌入式PLC设计及实现

  在数控机床中,通常用可编程控制器(PLC)对机床开关量信号进行控制。PLC可靠性高,使用方便。但在大多数数控机床,特别是经济型数控机床中,要求的输入输出点数并不……
来自论坛2007-11-01 09:45

复杂模块接口设计

摘要】IEEE-1394A串行总线是新一代高性能的串行总线,其PHY/link接口在物理层和链路层间承上启下,在整个系统中起了极其重要的作用。本文根据设计该接口的体会提出了一种简化……
来自论坛2007-10-31 09:31

封装技术简介

自从美国Intel公司1971年设计制造出4位微处a理器芯片以来,在20多年时间内,CPU从Intel4004、80286、80386、80486发展到Pentium和Pentiu……
来自论坛2007-10-23 10:45

电盛兰达10款标准开关电源输出电压从3.3V到60V

标准开关电源|稳压器业者电盛兰达株式会社近日宣布主要产品HWS系列增加了10款输出功率全部达到1,000W的新机种。该产品使用TDK-Lambda品牌,面向led显示屏、半导体和液……
1
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司