theskyofsnail

助工

今日你签到了吗?论坛动态

来自论坛2012-11-09 11:09

RE: 有个好消息和一个坏消息,大家先听哪一个?

先听好的,2012到了,我再也承受不起坏消息了……
来自论坛2012-11-09 11:07

关于FPGA构造I2C以及高速串口的方案

各位前辈好,小弟有一问题请教,小弟想用FPGA构造一个高速UART来接收主控板的串口信心,然后再用这个片FPGA构造多个,目前设计是4个I2C接口。 &……
来自论坛2012-11-08 20:42

RE: QuartusII 12.0 及modelsim软件含和谐文件

呵呵……12.0都和谐了,太牛X了……
来自论坛2012-11-08 20:28

RE: FPGA实验连载(ZC0301摄像头电路源码)

很好的一个练手项目,非常感谢分享!……
来自论坛2012-11-07 14:39

RE: FPGA学习的一些误区

嗯,看了也在反思我自己,看来,我想的太简单了……
来自论坛2012-11-06 16:12

RE: 管脚快速、免去一一分配引脚------分配文件TCL文件的建立与使用

呵呵……这个非常不好意思,小弟只是列举部分我看过的,怠慢您的了,内心充满愧疚啊,呵呵……
来自论坛2012-11-06 14:01

RE: MID已发送如下人员等待拆解

额,果然果然……
来自论坛2012-11-06 14:00

管脚快速、免去一一分配引脚------分配文件TCL文件的建立与使用

小弟每次新建工程文件都要一一分配管脚,感觉很是麻烦,在网上搜得quartus有几种管脚分配方式,得TCL脚本……
来自论坛2012-11-06 12:57

RE: theskyofsnail的进程贴作业(七)---数码管篇2模为60的计数器,数码管动态显示

这些作业之前已经编好,今天一次性上传,工作比较忙,所以有些简单的就没写注释 功能:显示一个模为60的计数器,即显示从0到59。 视频如下: 代码如下:……
来自论坛2012-11-06 12:53

RE: theskyofsnail的进程贴作业(六)---数码管篇1拨码开关控制显示静态数码管

这些作业之前已经编好,今天一次性上传,工作比较忙,所以有些简单的就没写注释 功能:实现八位拨码开关,控制数码管显示0到8。 视频如下: 代码如下: module l……
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司