首页 | 嵌入式系统 | 显示技术 | 模拟IC/电源 | 元件与制造 | 其他IC/制程 | 消费类电子 | 无线/通信 | 汽车电子 | 工业控制 | 医疗电子 | 测试测量
首页> 分享下载> 嵌入式系统> 在modelsim SE 创建Altera的仿真库--西北狼

在modelsim SE 创建Altera的仿真库--西北狼

资料介绍
不错的资料,与大家分享
希望以后大家能够把好的资料分享,共同进步!
西北狼 EDA 工作室



Modelsim se 中创建 altera 的仿真库

***********************************************
**modelsim version number-----modelsim se 5.8b **
**author: 西北狼 **
**QQ:11423644 QQ:58948391 **
***********************************************
一、 创建 altera 的仿真库

a) 路径选择
启动 modelsim se 仿真工具,在主窗口
中 选 择 【 file 】 → 【 change
directory】命令,将工作目录改变到
你想存放仿真库的目录,点击 【ok】.

b) 创建仿真库
在生窗口中选择【file】→【new】
→【library】命令,在弹出的【create
a new library 】 窗 口 中 将 选 项
【create】设置为【a new library
and a logical mapping to it】,
在 【 libryr name 】 和 【 li
标签: AlteramodelsimSE仿真库
在modelsim SE 创建Altera的仿真库--西北狼
本地下载

评论