首页 | 嵌入式系统 | 显示技术 | 模拟IC/电源 | 元件与制造 | 其他IC/制程 | 消费类电子 | 无线/通信 | 汽车电子 | 工业控制 | 医疗电子 | 测试测量
首页> 分享下载> 常用文档> 四位乘法器的VHDL语言设计

四位乘法器的VHDL语言设计

资料介绍
介绍了使用VHDL语言设计的4位乘法器,给出了功能仿真波形,举例说明了实现电子设计自动化(EDA)的过程。
四位乘法器的VHDL语言设计
本地下载

评论

378613961· 2010-01-07 09:15:08
白注册了、
zhangkainyist· 2009-12-17 10:16:04
不错
baoshijie0· 2009-10-13 09:31:09
真不好用~ 白让人注册~ 哎~