首页 | 嵌入式系统 | 显示技术 | 模拟IC/电源 | 元件与制造 | 其他IC/制程 | 消费类电子 | 无线/通信 | 汽车电子 | 工业控制 | 医疗电子 | 测试测量
首页> 分享下载> 常用文档> EDA工具全面介绍

EDA工具全面介绍

资料介绍
EDA/PLD

EDA工具全面介绍
发布日期:2009-3-6 12:29:32 文章来源:搜电 浏览次数: [pic]162
|[pic][pic][pic]分类 |产品名 |制造商 |
|VHDL/Verilog-HDL |Active-HDL |美国Aldec公司 |
|Simulator(仿真工具) | | |
|VHDL/Verilog-HDL |ModelSim/Renoir |美国Mentor |
|Simulator(仿真工具), | |Graphics公司 |
|图形输入工具 | | |
|VHDLSimulator(仿真工具 |MyVHDL Station |美国MyCAD公司 |
|) | | |
|Cycle Base・Simulator( |TauSim |美国Tau |
|仿真工具)(Verilog-HDL | |Simulation公司 |
|) | | |
|Hardware Accelerator |ARES |美国IKOS Systems |
|
标签: EDA工具全面介绍
EDA工具全面介绍
本地下载

评论