新闻中心

EEPW首页>嵌入式系统>设计应用> VMware构建VxWorks模拟仿真开发环境

VMware构建VxWorks模拟仿真开发环境

作者: 时间:2016-09-12 来源:网络 收藏

此后按如下步骤完成驱动程序的编译和替换:

(1)打开一个控制台窗口,运行批处理程序:

C:tornado2.2hostx86-win32bintorVars.bat;

该批处理文件用于设置环境变量,其内容为:

rem Command line build environments

set WIND_HOST_TYPE=x86-win32

set WIND_BASE=C:Tornado2.2

set PATH=%WIND_BASE%host%WIND_HOST_TYPE%bin;%PATH%

如果没有设置成功,可以手工设置这些环境变量。

(2)定位到C:tornado2.2targetsrcdrvend目录,运行:

make CPU=PENTIUM tool=gnu ln97xend.o

(3)定位到C:tornado2.2targetlibpentiumPENTIUMcommon目录,并将上一步生成的文件ln97xend.o复制到此目录下。备份此目录下的文件libdrv.a;

(4)运行命令arpentium -d libdrv.a ln97xEnd.o,删除libdrv.a中原有的ln97xEnd模块;

(5)运行命令arpentium -ra iOlicomEnd.o libdrv.a ln97xEnd.o将我们刚刚创建的新ln97xEnd模块添加进去。

2.2修改配置文件

我们要修改编译VxWorks的配置头文件Config.h中定义的一些参数,使编译出来的系统引导程序和VxWorks的映象符合我们的要求;同时还要修改sysLn97xEnd.c这个文件,以使系统的网络功能正常运行。

(1)定位到目录C:tornado2.2targetconfigpcPentium并打开该目录下Config.h文件;

(2)查找到定义DEFAULT_BOOT_LINE宏的地方,修改预处理条件CPU == PENTIUM分支下的定义如下:

#define DEFAULT_BOOT_LINE

lnPci(0,0)your_host_name:d:\vxWorks h=192.168.80.169 e=192.168.80.254 u=target pw=vxworks tn=target

其中:

lnPci(0,0)指定了使用第0个网卡和第0个处理器,lnPci这个标识会因为使用的驱动程序不同而有所不同,但这里用lnPci就可以了; host指定你的主机的名字,使用host就可以; d:\vxWorks指定了VxWorks映象下载的完整路径(后面会有说明); h=192.168.80.169是宿主机的IP地址,可以根据实际情况修改;e=192.168.80.254是目标机的IP地址,可以根据实际情况修改; u=target指定了FTP服务器的用户名,这个FTP就是用来下载VxWorks映象的; pw=vxworks是FTP服务器与用户名target对应的密码; tn=target指定目标机的名字,任意指定即可。

(3)指定网卡驱动程序

首先定位到“Network driver options”这段文字,保证INCLUDE_END和INCLUDE_LN_97X_END这两个宏处于定义状态(define),其他的宏都处于未定义状态(undef),即:

以下是引用片段:

/* Network driver options */

#define INCLUDE_END /* Enhanced Network Driver Support */

#undef INCLUDE_DEC21X40_END /* (END) DEC 21x4x PCI interface */

#undef INCLUDE_EL_3C90X_END /* (END) 3Com Fast EtherLink XL PCI */

#undef INCLUDE_ELT_3C509_END /* (END) 3Com EtherLink III interface */

#undef INCLUDE_ENE_END /* (END) Eagle/Novell NE2000 interface */

#undef INCLUDE_FEI_END /* (END) Intel 8255[7/8/9] PCI interface */

#undef INCLUDE_GEI8254X_END /* (END) Intel 82543/82544 PCI interface */

#define INCLUDE_LN_97X_END /* (END) AMD 79C97x PCI interface */

#undef INCLUDE_ULTRA_END /* (END) SMC Elite16 Ultra interface */

#undef INCLUDE_BSD /* BSD / Netif Driver Support (Deprecated) */

#undef INCLUDE_EEX /* (BSD) Intel EtherExpress interface */

#undef INCLUDE_EEX32 /* (BSD) Intel EtherExpress flash 32 */

#undef INCLUDE_ELC /* (BSD) SMC Elite16 interface */

#undef INCLUDE_ESMC /* (BSD) SMC 91c9x Ethernet interface */

(4)包含PC_CONSOLE

缺 省情况下,VxWorks系统是不接受外部输入设备(如键盘)的输入,也不向外部输出设备(如显示器)输出数据。为了便于调试,我们必须改变它的这种缺省 状态,包含对PC控制台的支持。查找定位宏INCLUDE_PC_CONSOLE,保证其处于定义状态(define)即可,即:

以下是引用片段:

#define INCLUDE_PC_CONSOLE /* PC keyboard and VGA console */

#ifdef INCLUDE_PC_CONSOLE

# define PC_CONSOLE (0) /* console number */

# define N_VIRTUAL_CONSOLES (2) /* shell / application */

#endif /* INCLUDE_PC_CONSOLE */

(5)修改sysLn97xEnd.c

打 开C:tornado2.2targetconfigpcPentium目录下的sysLn97xEnd.c文件,先定位到“memory- mapped IO base”这段文字,然后将其前面的参数由pciRsrc[endUnit].bar[1]修改为NONE,保存即可,即:

以下是引用片段:

sprintf (paramStr, paramTemplate,

endUnit, /* END unit number */

NONE, /* memory-mapped IO base */

pciRsrc[endUnit].bar[0], /* IO address space base */

PCI2DRAM_BASE_ADRS, /* host PCI mem. base */

pciRsrc[endUnit].irqvec, /* IRQ vector */

pciRsrc[endUnit].irq, /* IRQ number */

LN97X_CSR3_VALUE, /* csr3 register value */

LN97X_OFFS_VALUE, /* offset */

LN97X_RSVD_FLAGS, /* flags (reserved) */

ln97xStrDesc[typeIdx] /* device description */

);

2.3 编译Bootrom和VxWorks映像

编译Bootrom

打开Tornado开发工具,在Build菜单下选择Build Boot ROM,选择BSP为pcPentium,image类型为bootrom,工具为gnu,如图8。点击OK,Bootrom就会编译。

嵌入式实时操作系统VxWorks入门 - 第七印记 - 游走与人生的边缘

编译VxWorks映像



关键词:

评论


相关推荐

技术专区

关闭