EEPW首页| 器件索引| 厂商列表| IC替换| 微缩略语| 电路图查询
器件查询:
400万器件资料库等您来搜!
首页>MICROSEMI> CD54HC280F3A

CD54HC280F3A

器件名称: CD54HC280F3A
功能描述: 5 WATT GLASS ZENER DIODES
文件大小: 83.54KB 共4页
生产厂商: MICROSEMI
下  载: 在线浏览点击下载
简  介: 1N4954 thru 1N4996, 1N5968 thru 1N5969, and 1N6632 thru 1N6637 SCOTTSDALE DIVISION VOIDLESS-HERMETICALLY-SEALED 5 WATT GLASS ZENER DIODES DESCRIPTION This Zener Voltage Regulator series is military qualified to MIL-PRF19500/356 and is ideal for high-reliability applications where a failure cannot be tolerated. These industry-recognized 5 Watt Zener Voltage Regulators are hermetically sealed with voidless-glass construction using an internal metallurgical bond. It includes Zener selections from 3.3 to 390 volts in standard 5% tolerances as well as tighter tolerances identified by different suffix letters on the part number. They are also available in surface-mount packages (see separate data sheet for 1N4954US thru 1N4996US, 1N5968US thru 1N5969US, and 1N6632US thru 1N6637US). Microsemi also offers numerous other Zener products to meet higher and lower power ratings in both thru-hole and surface mount packages. IMPORTANT: For the most current data, consult MICROSEMI’s website: http://www.microsemi.com APPEARANCE WWW . Microsemi . C OM “E” Package FEATURES Popular JEDEC registered series Voidless hermetically sealed glass package Extremely robust construction Triple-layer passivation Internal “Category I” Metallurgical bonds for 1N4954 thru 1N4996, and “Category III” for 1N6632 thru 1N6637 as well as 1N5968 thru 1N5959 JAN, JANTX, JANTXV, and JANS available per MILPRF-19500/356 Surface mount equivalents also available in a square end-cap MELF configuration with “US” ……
相关电子器件
器件名 功能描述 生产厂商
CD54HC280F3A High-Speed CMOS Logic 9-Bit Odd/Even Parity Generator/Checker TI
CD54HC280F3A High-Speed CMOS Logic 9-Bit Odd/Even Parity Generator/Checker TI
CD54HC280F3A 5 WATT GLASS ZENER DIODES MICROSEMI
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
Copyright ©2002 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
京ICP备12027778号-2