新闻中心

EEPW首页>嵌入式系统>设计应用> 飞思卡尔初学之PWM调试笔记

飞思卡尔初学之PWM调试笔记

作者: 时间:2016-11-27 来源:网络 收藏


本文引用地址://m.amcfsurvey.com/article/201611/322215.htm

PWMSCLA寄存器实际上是对 前面已经对总线实行分频后的 ClockA 再次进行分频,从而得到更小的时钟源。

极性设置寄存器。0 表示 初始输出 点平。1 表示初始输出 高电平


PWM对齐方式设置寄存器。0 左对齐。1 中间对齐


PWM 控制寄存器。主要用于设置是否级联模式。若PWMCTL_CON01=1;则表示 通道0和1进行级联

PWM周期设置。 周期为PWMRERx 的数 × 时钟源周期 ;

占空比设置寄存器。PWM模块中每个通道有一个8位的计数器,当计数值实时跟占空比中的值进行比较,一但相等,则将电平翻转,并且实时跟周期寄存器中的值进行比较,一旦相等则清零重新计数。

示意图:




调试心得:

1、关于程序中寄存器的书写。

一种是总线方式。比如PWME 寄存器。可以直接总线赋值。如PWME=0x01;也可以进行位赋值 如 PWME_PWME0=1;(注意不能直接写PWME0=1;)

2、级联方式时:级联方式就是为了扩展 周期、占空比的。因此两个通道的 8位周期、占空比寄存器就级联成了16位的周期、占空比寄存器。特别需要注意的是,此时的 这16位的寄存器的高8位 是低通道的8位寄存器。

比如01通道级联成的16位寄存器的高8位是通道0的8位。


上一页 1 2 下一页

评论


技术专区

关闭