首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> dp-cpld

基于CPLD的LED点阵显示控制器

  •   现场可编程器件(FPGA和CPLD)等ISP器件无须编程器,利用器件厂商提供的编程套件,采用自顶而下的模块化设计方法,使用原理图或硬件描述语言(VHDL)等方法来描述电路逻辑关系,可直接对安装在目标板上的器件编程。它易学、易用、简化了系统设计,减小了系统规模,缩短设计周期,降低了生产设计成本,从而给电子产品的设计和生产带来了革命性的变化。   1、系统结构及工作原理   LED点阵显示控制的传统方式是采用单片机或系统机作为CPU来实现,当系统显示的信息比较多时,由于单片机的输入/输出端口(I/O)
  • 关键字:CPLDLEDFPGA

基于单片机和CPLD的LED点阵书写显示屏设计

  •   设计并制作了一个基于16×16LED点阵模块的书写显示屏。当光笔触及点阵模块表面时,先由光笔检测触及位置处点的扫描微亮信号以获取其行列坐标,再依据功能需求决定该坐标处的点是否点亮至人眼可见的显示状态,从而在屏上实现"点亮、划亮、反显、整屏擦除、笔画擦除、对象拖移、连写多字"等书写显示功能。   基于单片机和CPLD的LED点阵书写显示屏设计.pdf
  • 关键字:单片机CPLDLED

基于PIC单片机的SPWM控制技术

  •   引言   在UPS等电力电子设备中,控制方法是核心技术。早期的控制方法使得输出为矩形波,谐波含量较高,滤波困难。SPWM技术较好地克服了这些缺点。目前SPWM的产生方法很多,汇总如下。   1)利用分立元件,采用模拟、数字混和电路生成SPWM波。此方法电路复杂,实现困难且不易改进;   2)由SPWM专用芯片SA828系列与微处理器直接连接生成SPWM波,SA828是由规则采样法产生SPWM波的,相对谐波较大且无法实现闭环控制;   3)利用CPLD(复杂可编程逻辑器件)设计,实现数字式SPW
  • 关键字:PICSPWMCPLD

基于CPLD的串并转换和高速USB通信设计

  •   摘要:CPLD可编程技术具有功能集成度高、设计灵活、开发周期短、成本低等特点。介绍基于ATMEL 公司的CPLD芯片ATF1508AS设计的串并转换和高速USB及其在高速高精度数据采集系统中的应用。   关键词:CPLD 串并转换 USB   可编程逻辑器件(PLD)是20世纪70年代在ASIC设计的基础上发展起来的一种划时代的新型逻辑器件。自PLD器件问世以来,制造工艺上采用TTL、CMOS、ECL及静态RAM技术,器件类型有PROM、EPROM、 E2PROM、FPLA、PAL、GAL、PML
  • 关键字:ATMELCPLDUSB

基于CPLD的OMA-L137与ADS1178数据通信设计方案

  •   引 言   串行外围设备接口(Serial Peripheral InteRFace,SPI)总线技术是Motorola公司推出的一种高速同步串行输入/输出接口,近年来广泛应用于外部移位寄存器、D/A转换器、 A/D转换器、串行EEPROM、LED显示器等外部设备的拓展。SPI总线是一种三线同步总线(CLK、SI-MO、SOMI),可以共享,便于组成带多个SPI接口的控制系统。其传输速率可编程,连接线少,具有良好的拓展性。   ADS1178是一款典型的具有SPI接口的A/D转换器,它可以方便地与
  • 关键字:CPLDOMA-L137ADS1178

一种基于CPLD实现QPSK调制电路设计

  •   QPSK是数字通信系统中一种常用的多进制调制方式。其调制的基本原理:对输入的二进制序列按每两位码元分为一组,用载波的四种相位表征它们。实际上QPSK信号是两路正交双边带信号。现在人们对通信的要求越来越高,高速率、大容量、以及多业务,这些对有限的频谱资源构成了大的挑战。因此,对相移键控的研究具有重要意义,因为信道条件的限制,大多数数字通信系统采用了对幅度波动不敏感的频移键控、相移键控和相应的派生调制方式。   基于以上QPSK调制,本设计基于CPLD采用相位选择法来实现调制。   1。 QPSK调制
  • 关键字:CPLDQPSK调制

基于CMOS图像传感器的指纹识别设计

  •   引 言   CMOS图像传感器是近年来得到快速发展的一种新型固态图像传感器。它将图像传感部分和控制电路高度集成在同一芯片里,体积明显减小、功耗也大大降低,满足了对高度小型化、低功耗成像系统的要求。与传统的CCD图像传感器相比,CMOS图像传感器还具有集成度高、控制简单、价格低廉等诸多优点。因此随着CMOS集成电路工艺的不断进步和完善,CMOS图像传感器已经广泛应用于各种通用图像采集系统中。同时作为一种PC机与外围设备间的高速通信接口,USB具有许多突出的有点: 连接简便,可热插拔,无需定位及运行安装
  • 关键字:CMOSUSBCPLD

基于CPLD的异步ASI/SDI信号电复接光传输设备设计

  •   引言   近年来,随着计算机、数字网络和电视技术的飞速发展,人们对高质量电视图像的需求不断提高,我国广播电视事业日新月异、迅猛发展。四年前开通的数字电视卫星广播,目前已形成相当规模。数字摄录、数字特技、非线性编辑系统、虚拟演播室、数字转播车、网络硬盘阵列以及机械手数字播放系统等设备,已陆续进入中央电视台和各省市级电视台。标准高清晰度数字电视SDTV/HDTV已列为国家重大科研产业工程项目,试验播出已在中央广播电视塔上进行。目前,我国数字电视节目制作和数字电视地面广播已在紧锣密鼓地推进,而&ldquo
  • 关键字:CPLDASI/SDI光传输设备

基于FPGA/CPLD的VGA显示系统设计

  •   VGA(视频图形阵列Video Graphics Array)是IBM在1987年随PS/2机一起推出的一种视频传输标准,具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛的应用。   目前 VGA技术的应用还主要基于 VGA显示卡的,而在一些既要求显示彩色高分辨率图像又不使用计算机的设备上,VGA技术的应用却很少。本文对基于 FPGA/CPLD的嵌入式 VGA显示的实现方法进行了研究。   基于 FPGA/CPLD的嵌入式 VGA显示系统,可以在不使用 VGA显示卡的情况下实现
  • 关键字:FPGACPLDVGA

基于晶体管图示仪的CPLD控制器设计

  •   晶体管图示仪是电路设计中常用的电子仪器,它能够显示晶体管的输入特性、输出特性和转移特性等多种曲线和参数。它不仅可以测量晶体二极管和三极管,还可以测量场效应管、隧道二极管、单结晶体管、可控硅和光耦等器件。但传统的晶体管图示仪存在着电路复杂,体积庞大,示波管的显示屏小,功耗大,价格昂贵等缺点。随着计算机软硬件技术、单片机技术和EDA技术的不断发展及其在电工电子测量技术的应用,晶体管图示仪在结构、工作原理和功能上发生很大变化,成为数字化和智能化的虚拟仪器。本文设计的晶体管图示仪就是这样一种新型仪器,除改善了
  • 关键字:CPLD晶体管图示仪单结晶体管

一种基于通用型PCI接口的VHDL-CPLD设计

  •   用CPLD设计所构成的CPI接口系统具有简洁、可靠等优点,是一种行之有效的设计途径。很多技术杂志和网站上,都有不少用CPLD设计PCI 常规传输系统的文章。但用这些方法在MzxPlusII、Fundition等环境下进行模拟仿真时,其产生的时序往往与PCI规范有很大出入。虽然 Altera 等公司推出PCI核可以直接使用,但这样的内核占用CPLD资源较多,且能适配的器件种类少,同时价格也高,在实际设计应用中有很大的局限性。因此,使用通用型CPLD器件设计简易型PCI接口有很大的现实意义。在Compac
  • 关键字:PCIVHDL-CPLDCompact接口

基于CPLD的CCD Sensor驱动电路设计

  •   0 引 言   视觉信息是客观世界中非常丰富,非常重要的部分。随着多媒体系统的发展,图像传感器应用越来越广泛。不仅用于摄录像机,安保产品、数码相机及计算机镜头等,而且开始用于传统上的非视像产品,如移动电话、个人数字助理(PDA)等。传送优良的图像,兼顾体积小、重量轻、噪声低、速度快等优点,CCD图像传感器是一个不错的选择。   CCD(电荷耦合器件)作为一种光电转换器件,应用的关键技术是产生正确的驱动器信号和相应的控制信号。不同型号的CCD,驱动信号时序千差万别:有高速CCD驱动,高帧率CCD驱动
  • 关键字:CPLDCCD SensorICX285AL

基于CPLD的PC104系统与CAN总线网络通信设计

  •   1.引言   可编程逻辑器件PLD(Programmable logic Device)就是由用户进行编程实现所需逻辑功能的数字专用集成电路ASIC。可编程逻辑器件在现代电子工程设计中得到了广泛应用。它是在 PAL,GAL等逻辑器件的基础上发展起来的,具有高密度,高速度,低功耗体系结构和逻辑单元,灵活以及运用范围宽等特点,同时还具有设计周期短,制造成本低,开发工具先进,标准产品无需测试,质量稳定及可实时布线检验等优点。   现场总线技术广泛应用于工业和军用测控局域网中,它可以实现较远距离、较快速度
  • 关键字:CPLDPC104CAN总线

基于SRAM/DRAM的大容量FIFO的设计与实现

  • 1 引言 FIFO(First In First Out)是一种具有先进先出存储功能的部件。在高速数字系统当中通常用作数据缓存。在高速数据采集、传输和实时显示控制领域中.往往需要对大量数据进行快速存储和读取,而这种先进先出的结构特点很好地适应了这些要求,是传统RAM无法达到的。 许多系统都需要大容量FIFO作为缓存,但是由于成本和容量限制,常采用多个FIFO芯片级联扩展,这往往导致系统结构复杂,成本高。本文分别针对Hynix公司的两款SRAM和DRAM器件,介绍了使用CPLD进行接口连接和编程控制,来
  • 关键字:FIFOSRAMDRAMCPLD

基于FPGA/CPLD的半整数分频器设计及仿真

  •   1引言   CPLD(ComplexprogrammableLogicDevice,复杂可编程逻辑器件)和FPGA(FieldprogrammableGatesArray,现场可编程门阵列)都是可编程逻辑器件,它们是在PAL、GAL等逻辑器件基础上发展起来的。同以往的PAL、GAL相比,FPGA/CPLD的规模比较大,适合于时序、组合等逻辑电路的应用。它可以替代几十甚至上百块通用IC芯片。这种芯片具有可编程和实现方案容易改动等特点。由于芯片内部硬件连接关系的描述可以存放在磁盘、ROM、PROM、或E
  • 关键字:FPGACPLD分频器
关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473