首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> vhdl.programming

vhdl.programming文章进入vhdl.programming技术社区

基于VHDL的DRAM控制器设计

  • 基于VHDL的DRAM控制器设计, 80C186XL16位嵌入式微处理器是Intel公司在嵌入式微处理器市场的上导产品之一,已广泛应用于电脑终端、程控交换和工控等领域。在该嵌入式微处理器片内,集成有DRAM RCU单元,即DRAM刷新控制单元。RCU单元可以自动产生
  • 关键字:设计控制器DRAMVHDL基于

基于VHDL的通信编码波形的设计与仿真

  • 引言信号传输一般可分为两大部分:编码与解码。其中编码要求根据所传输信号特点选择合适的编码方式。由于不同的信号在不同的环境中进行传输,受到的干扰是不同的,而选择合适的编码方法可以最大限度的避免干扰,使通
  • 关键字:设计仿真波形编码VHDL通信基于

基于CPLD/FPGA的VHDL电路优化设计

  • 基于CPLD/FPGA的VHDL电路优化设计,VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起来的。它是一种面向设计、多层次的硬件描述语言,是集行为描述、RTL
  • 关键字:优化设计电路VHDLCPLD/FPGA基于

基于EP1C6Q240C8和VHDL的定时器的方案设计

  • 本设计采用可编程芯片和VHDL语言进行软硬件设计,不但可使硬件大为简化,而且稳定性也有明显提高。由于可编程芯片的频率精度可达到50 MHz,因而计时精度很高。本设计采用逐位设定预置时间,其最长时间设定可长达99小
  • 关键字:240CQ240VHDL240

基于FPGA与单片机的波形发生器设计

  • 摘要:利用FPGA与单片机相结合的方法,使用单片机控制FPGA产生频率为10Hz~20kHz的正弦波,锯齿波,三角波和四路分别 ...
  • 关键字:FPGA单片机VHDLDDS

基于VHDL的SDRAM接口设计

  • 基于VHDL的SDRAM接口设计,RAM通常用于数据和程序的缓存,随着半导体工业的发展,RAM获得了飞速的发展,从RAM、DRAM(Dynamic RAM,即动态RAM)发展到SDRAM(Synchronous Dynamic RAM,即同步动态RAM),RAM的容量越来越大、速度越来越高,可以说存
  • 关键字:设计接口SDRAMVHDL基于

VHDL语言在FPGA/CPLD开发中的应用

  • 摘 要】 通过设计实例详细介绍了用VHDL(VHSIC Hardware DescriptionLanguage)语言开发FPGA/CPLD的方法,以及与 ...
  • 关键字:VHDLFPGACPLDEDA

VHDL语言中信号的不同形式设置

  • VHDL语言中信号的不同形式设置,摘要:通过一个偶同位产生器逻辑功能的实现过程,介绍了VHDL语言中信号设置的不同方 式及注意事项,并给出了完整的程序代码。关键词:VHDL;程序1概述VHDL是一种快速的电路设计工具,功能涵盖 了电路描述、电路合成、
  • 关键字:形式设置不同信号语言VHDL

基于VHDL的异步FIFO设计

  • 摘要:FIFO经常应用于从一个时钟域传输数据到另一个异步时钟域。为解决异步FIFO设计过程中空满标志判断难以及FPGA亚稳态的问题,提出一种新颖的设计方案,即利用格雷码计数器(每次时钟到来仅有1位发生改变)表示读/写
  • 关键字:设计FIFO异步VHDL基于

用VHDL语言开发的出租车计费系统设计

  • 用VHDL语言开发的出租车计费系统设计,0 引言  出租车计价系统较多的是利用单片机进行控制,但较易被私自改装,且故障率相对较高,且不易升级;而FPGA具有高密度、可编程及有强大的软件支持等特点,所以设计的产品具有功能强、可靠性高、易于修改等特点。
  • 关键字:计费系统设计出租车开发语言VHDL

VHDL语言在FPGA/CPLD开发中的应用

  • 1引言EDA(电子设计自动化)关键技术之一是采用硬件描述语言(HDL)描述电路系统,包括电路结构、行为方式、...
  • 关键字:VHDL

用VHDL设计有限状态机的方法

  • 用VHDL设计有限状态机的方法,现代数字系统的设计一般都采用自顶向下的模块化设计方法。即从整个系统的功能出发,将系统分割成若干功能模块。在自顶向下划分的过程中,最重要的是将系统或子系统按计算机组成结构那样划分成控制器和若干个受控制的
  • 关键字:方法状态有限设计VHDL

VHDL语言在FPGA/CPLD开发中的应用?

  • VHDL语言在FPGA/CPLD开发中的应用?,【摘 要】 通过设计实例详细介绍了用VHDL(VHSIC Hardware DescriptionLanguage)语言开发FPGA/CPLD的方法,以及与电路图输入和其它HDL语言相比,使用VHDL语言的优越性。
    关键词:VHDL,FPGA/CPLD,EDA
  • 关键字:开发应用CPLDFPGA语言VHDL

汽车尾灯VHDL设计

  • 汽车尾灯VHDL设计标签/分类:
    1.系统设计要求
    用6个发光管模拟6个汽车尾灯(左右各3个),用4个开关作为汽车控制信号,分别为:左拐、右拐、故障和刹车。
    车匀速行驶时,6个汽车尾灯全灭;右拐时,车右边
  • 关键字:VHDL汽车尾灯

VHDL语言在EDA仿真中的应用

  • 随着电子技术的发展,数字系统的设计正朝高速度、大容量、小体积的方向发展,传统的自 底而上的设计方法已难以适应形势。EDA(Electronic Design Automation)技术 的应运而生,使传统的电子系统设计发生了根本的变革。
  • 关键字:VHDLEDA仿真中的应用
关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473