首页 | 嵌入式系统 | 显示技术 | 模拟IC/电源 | 元件与制造 | 其他IC/制程 | 消费类电子 | 无线/通信 | 汽车电子 | 工业控制 | 医疗电子 | 测试测量
首页> 分享下载> 常用文档> LM75

LM75

资料介绍
温度传感器
FPGA/CPLD 开发套件实验教程
―― PERI2-4DI 篇
FPGA/CPLD 开发套件实验教程 ―― PERI2-4DI 篇




实验四、I2C 实践(一)-LM75A 温度传感器


实验目的:
在这一课里,我们一起来学习 I2C 协议,以及 I2C 驱劢的编写方法,并通过 FPGA/CPLD 来驱劢 LM75A 温度传感器,
读出当前温度信息。



实验原理:

(1) I2C 串行总线概述
采用串行总线技术可以使系统的硬件设计大大简化、系统的体积减小、可靠性提高。同时,系统的更改和扩充
标签: LM75FPGA
LM75
本地下载

评论