首页 | 嵌入式系统 | 显示技术 | 模拟IC/电源 | 元件与制造 | 其他IC/制程 | 消费类电子 | 无线/通信 | 汽车电子 | 工业控制 | 医疗电子 | 测试测量
首页> 分享下载> 嵌入式系统> 数字钟Verilog程序

数字钟Verilog程序

资料介绍
数字钟
要求:
1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频)
2.能显示时、分、秒,24小时制;
4.时和分有校正功能;即能修改时、分的值。
5.可以整点报时,喇叭响两秒;
6.可设定夜间某个时段不报时;
标签: FPGAVerilogmodelsim
数字钟Verilog程序
本地下载

评论