半加器

(half - adder)   能实现两个一位二进制数的算术加法及向高位进位,而不考虑低位进位的逻辑电路。   它有两个输入端,两个输出端。   半加器电路是指对两个输入数据位进行加法,输出一个结果位,不考虑数......[查看详细]

专栏

下载

    视频

      论坛

      热门文章

      热门视频

      热门下载

      相关标签

      TOP