新闻中心

EEPW首页>EDA/PCB>设计应用> 基于FPGA的LVDS接口应用

基于FPGA的LVDS接口应用

作者: 时间:2012-02-24 来源:网络 收藏

摘要 介绍了技术的原理,对接口在高速数据传输系统中的应用做了简要的分析,着重介绍了基于_TX模块的应用,并通过其在DAC系统中的应用实验进一步说明了LVDS接口的优点。
关键词 LVDS;;高速数据传输;PLL;AD9735

介绍了基于的LVDS模块的应用,实现了将数据通过FPGA(Ahera StratixII EP2S90)的LVDS发送模块的传输,以640 Mbit·s-1数据率送至DAC电路。

1 LVDS技术简介
LVDS,即Low-Voltage Differential Signaling低压差分信号,是由美国国家半导体公司于1994年提出的一种信号传输模式,在满足高数据传输率的同时降低了功耗,运用LVDS技术可使数据速率从几百Mbit·s-1到2 Gbit·s-1。
LVDS传输方式的原理是用一对线传输一个信号,一条传输正信号,另一条传输相反电平并且在接收端相减,可以将走线上的共模噪声消除。因为两根信号的极性相反,所以对外辐射的电磁场可以相互抵消,耦合越紧密,互相抵消的磁力线越多,泄露到外界的电磁能量就越少。
LVDS接口也称RS-644总线接口,运用LVDS传输技术,采用极低的电压摆幅高速差动传输数据,具有低功耗、低误码率、低串扰和低辐射等特点,可使用铜质PCB连线传输或平衡电缆。LVDS在对信号完整性、低抖动及共模特性要求较高的系统中的应用越来越广泛。目前LVDS技术规范有两个标准:一个是TIA/EIA的ANSI/TIA/EIA-644标准;另一个是IEEE1596.3标准。

2 基于FPGA的LVDS接口发送模块
采用Stratix II系列的EP2S90F1020C3FPGA,其支持高速LVDS接口,在Quartus II软件中可以调用其宏功能模块Altlvds_tx,即LVDS接口发送模块。此模块将以并行方式输入的TTL电平数据信号转换成串行的LVDS信号输出。
2.1 Altlvds_tx发送模式

本文引用地址://m.amcfsurvey.com/article/190723.htm

a.JPG


将LVDS模块设置为发送模式,即将左端输入的并行tx_in信号转化为串行的tx_out信号输出,并且设置通道数和串行化因子,在这里,通道数即为输出数据的位数,而串行化因子表示将输入数据分几次输出。例如输入为96位的并行数据,设置为24 channels×4,表示输出串行的24位数据,分4次输出,若输入数据时钟为160 MHz,则输出的数据率为4倍,即640 Mbit·s-1,并且可以输出640 MHz的数据时钟。在综合设置页面中,没有选中“Implement Serializer/Deserializer Circuitry In Logic Cells”,就表示用到了LVDS Serdes硬核。图2所示数据率为640Mbit·s-1,输出时钟为640MHz。

b.JPG


需要注意的是,Altlvds发送模块在对数据进行并转串输出时,会对输入数据的顺序进行重新排列,例如:输入8位并行数据,设置2 channels×4,则输入数据分为2组即2个通道,每组4 bit,如图3所示。

c.JPG


从图3可以看出,8位输人数据分为2个通道,每个通道4 bit,输出数据时,第一个输出的2 bit数为第一个通道的最高位和第二个通道的最高位分别作输出的高位和低位,第二个输出的数为第一个通道的次高位和第二个通道的次高位组合,以此类推。
当设置的串行化因子为2时,Altivds_tx模块会自动变成DDR工作模式。

fpga相关文章:fpga是什么



上一页 1 2 3 4 下一页

关键词:FPGALVDS接口应用

评论


相关推荐

技术专区

关闭