首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> verilog-xl

最强单摄加持 谷歌Pixel 3a和Pixel 3a XL宣布:5月7日发布

  • 4月16日消息,据Phone Arena报道,谷歌宣布将于5月7日正式发布Pixel系列中端新机Pixel 3a和Pixel 3a XL。
  • 关键字:谷歌Pixel 3aPixel 3a XL

一文看懂VHDL和Verilog有何不同

  •   当前最流行的硬件设计语言有两种,即 VHDL 与 Verilog HDL,两者各有优劣,也各有相当多的拥护者。VHDL 语言由美国军方所推出,最早通过国际电机工程师学会(IEEE)的标准,在北美及欧洲应用非常普遍。而 Verilog HDL 语言则由 Gateway 公司提出,这家公司辗转被Cadence所购并,并得到Synopsys的支持。在得到这两大 EDA 公司的支持后,也随后通过了 IEEE 标准,在美国、日本及中国台湾地区使用非常普遍。  我们把这两种语言具体比较下:  1.整体结构  点评
  • 关键字:VHDLVerilog

H.264/AVC中量化的Verilog实现

  • 介绍了H.264的量化算法,并用Modelsim进行了仿真,结果与理论完全一致。分析了在FPGA开发板上的资源的消耗。由此可知,完全可以用FPGA实现H.264的量化
  • 关键字:Verilog264AVC

Verilog的语言要素有哪些?

  • 本章介绍Verilog HDL的基本要素,包括标识符、注释、数值、编译程序指令、系统任务和系统函数。另外,本章还介绍了Verilog硬件描述语言中的两种数据类
  • 关键字:VerilogFPGA

如何基于设计Verilog FPGA 流水灯?

  • 1 功能概述流水广告灯主要应用于LED灯光控制。通过程序控制LED的亮和灭, 多个LED灯组成一个阵列,依次逐个点亮的时候像流水一样,所以叫流水灯。由于
  • 关键字:流水灯Verilogfpga

“老司机”十年FPGA从业经验总结

  •   大学时代第一次接触FPGA至今已有10多年的时间,至今记得当初第一次在EDA实验平台上完成数字秒表、抢答器、密码锁等实验时那个兴奋劲。当时由于没有接触到HDL硬件描述语言,设计都是在MAX+plus II原理图环境下用74系列逻辑器件搭建起来的。   后来读研究生,工作陆陆续续也用过Quartus II、FoundaTIon、ISE、Libero,并且学习了verilogHDL语言,学习的过程中也慢慢体会到verilog的妙用,原来一小段语言就能完成复杂的原理图设计,而且语言的移植性可操作性比原理图
  • 关键字:FPGAVerilog

基于verilog实现哈夫曼编码的新方法

  • 传统的硬件实现哈夫曼编码的方法主要有:预先构造哈夫曼编码表,编码器通过查表的方法输出哈夫曼编码[1];编码器动态生成哈夫曼树,通过遍历节点方式获取哈夫曼编码[2-3]。第一种方法从平均码长角度看,在很多情况下非最优;第二种方法需要生成完整的哈夫曼树,会产生大量的节点,且需遍历哈夫曼树获取哈夫曼编码,资源占用多,实现较为麻烦。本文基于软件实现[4]时,使用哈夫曼树,会提出一种适用于硬件并行实现的新数据结构——字符池,通过对字符池的频数属性比较和排序来决定各个字符节点在字符池中的归属。配置字符池的同时逐步生成
  • 关键字:verilog哈夫曼编码字符池FPGA201712

写verilog代码要有硬件的概念

  • 写verilog代码要有硬件的概念-因为Verilog是一种硬件描述语言,所以在写Verilog语言时,首先要有所要写的module在硬件上如何实现的概念,而不是去想编译器如何去解释这个module
  • 关键字:verilogFPGA

一个合格FPGA 工程师的基本要求

  • 一个合格FPGA 工程师的基本要求-一个合格的FPGA工程师需要掌握哪些知识?这里根据自己的一些心得总结一下,其他朋友可以补充啊。
  • 关键字:FPGAVerilog

Verilog设计中的一些避免犯错的小技巧

  • Verilog设计中的一些避免犯错的小技巧-这是一个在设计中常犯的错误列表,这些错误常使得你的设计不可靠或速度较慢,为了提高你的设计性能和提高速度的可靠性你必须确定你的设计通过所有的这些检查。
  • 关键字:FPGAVerilog

基于verilog的FPGA编程经验总结

  • 基于verilog的FPGA编程经验总结-用了半个多月的ISE,几乎全是自学起来的,碰到了很多很多让人DT好久的小问题,百度也百不到,后来还是都解决了,为了尽量方便以后的刚学ISE的童鞋不再因为一些小问题而纠结,把这几天的经验总结了一下。好了,废话不多说,上料!
  • 关键字:verilogFPGA

verilog语言实现任意分频

  • verilog语言实现任意分频-原文出自:分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。
  • 关键字:verilog分频器电子电路

深入分析verilog阻塞和非阻塞赋值

  • 深入分析verilog阻塞和非阻塞赋值-学verilog 一个月了,在开发板上面写了很多代码,但是始终对一些问题理解的不够透彻,这里我们来写几个例子仿真出阻塞和非阻塞的区别
  • 关键字:verilog阻塞非阻塞

Verilog HDL简明教程(2)

  • Verilog HDL简明教程(2)-模块是Verilog 的基本描述单位,用于描述某个设计的功能或结构及其与其他模块通信的外部端口。
  • 关键字:VerilogHDL

基于Verilog语言的等精度频率计设计

  •   引言  传统测量频率的方法主要有直接测量法、分频测量法、测周法等,这些方法往往只适用于测量一段频率,当被测信号的频率发生变化时,测量的精度就会下降。本文提出一种基于等精度原理的测量频率的方法,在整个频率测量过程中都能达到相同的测量精度,而与被测信号的频率变化无关。本文利用FPGA(现场可编程门阵列)的高速数据处理能力,实现对被测信号的测量计数;利用单片机的运算和控制能力,实现对频率、周期、脉冲宽度的计算及显示。  等精度测量原理等精度测量的一个最大特点是测量的实际门控时间不是一个固定值,而
  • 关键字:VerilogFPGA
共186条 3/13«12345678910»›|
关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473